Aritmética em FPGAs. Representação em Vírgula Fixa.

18 novembro 2011, 15:30 Horácio Neto

Aritmética em FPGAs (25-32)

Representação de Números Reais em Vírgula Fixa (1-8)